浔之漫智控技术(上海)有限公司总部
主营西门子模块 , PLC模块 , 变频器模块 , 触摸屏模块
西门子S7-200模块200CN控制器
观看西门子S7-200模块200CN控制器视频:

西门子S7-200模块200CN控制器西门子S7-200模块200CN控制器西门子S7-200模块200CN控制器

上海西门子模块代理商。西门子授权一级代理商

深圳西门子授权总代理商,浔之漫智控技术(上海)有限公司,西门子CN模块授权代理商,西门子电缆授权一级代理商,欢迎致电浔之漫智控技术上海有限公司采购

SIEMENS触摸屏6AV6648-0CC11-3AX0
SIEMENS触摸屏6AV6648-0CE11-3AX0
SIEMENS模块6ES7142-3BH00-0XA0
SIEMENS模块6ES7288-3AE08-0AA0
SIEMENS模块6ES7288-2DT16-0AA0
SIEMENS模块6ES7288-3AR04-0AA0
SIEMENS交换机6GK5005-0BA00-1AB2
SIEMENS触摸屏6AV2123-2MB03-0AX0
SIEMENS电缆6XV1840-2AH10
SIEMENS底座6ES7193-6BP00-0BA0
SIEMENS底座6ES7193-6BP00-0DA0
SIEMENS模块6ES7141-3BH00-0XA0
SIEMENS模块6ES7212-1AE40-0XB0
合信模块CTS7114-1BD20-0620
SIEMENSDP电缆6XV1830-0EH10
SIEMENS内存卡6ES7954-8LE03-0AA0
SIEMENS模块6ES7521-1BH10-0AA0
SIEMENS模块6ES7135-6HD00-0BA1
SIEMENS模块6ES7195-7HD10-0XA0
SIEMENS模块6ES7288-3AM06-0AA0
SIEMENS模块6ES7241-1CH32-0XB0
SIEMENS触摸屏6AV2124-0MC01-0AX0

西门子PLC Modbus地址问题原因分析

Modbus地址实际上分为两种情况即plc作Modbus主站,Modbus地址和PLC手册里的地址一与PLC作从站,PLC不用管什么Modbus地址。

西门子PLC Modbus地址问题原因分析

Modbus地址实际上分为两种情况。下面以西门子S7-200/S7-200SMART/和S7-1200为例来说明:

第一种情况:

PLC作Modbus主站,Modbus地址和PLC手册里的地址一致,例如作主站的S7-200的MBUS_MSG指令用于向Modbus从站发送请求消息,和处理从站返回的响应消息。要读取从站(另一台S7-200)的I0.0开始的地址区时,它的输入参数Addr(Modbus地址)为10001。S7-200从站保持寄存器的V区起始地址为VB200时,要读取从站VW200开始的V存储区时,保持寄存器的地址是40001。

第二种情况:

PLC作从站,PLC不用管什么Modbus地址,等着主站来读写它的地址区就是了。

主站的计算机软件(例如dcs或组态软件)的编程人员需要编写实现Modbus通信的程序,首先需要确定ModbusRTU的报文结构。他们一般不熟悉PLC,因此PLC的编程人员往往需要和上位机软件的编程人员一起来讨论Modbus的报文结构。

*容易出问题的就是报文里Modbus地址与PLC存储区地址的对应关系。曾经有工作人员做过的一个系统的上位机是专用的组态软件,通过分析GEPLC手册给出的CRC的循环异或计算实例每一步的中间数据,编写出了CRC计算的C语言程序。通过实验验证了Modbus报文结构和CRC的计算的可行性。

S7PLC手册给出的Modbus地址与Modicon公司和GE公司PLC使用的地址相同,是基于1的地址,即同类元件的首地址为1。而西门子plc采用的是基于0的地址,即同类元件的首地址为0。Modbus报文中西门子PLC的Modbus地址也采用基于0的地址。

PLC系统手册中的Modbus地址的*高位用来表示地址区的类型,例如I0.0的Modbus地址为10001。因为地址区类型的信息已经包含在报文的功能码中了,报文中S7-200的I0.0的Modbus地址不是10001,而是0。报文中其他地址区的Modbus地址也应按相同的原则处理。例如当S7-200从站保持寄存器的V区起始地址为VB200时,VW200对应的保持寄存器在报文中的Modbus地址为0,而不是40001。

西门子S7-200模块,200CN控制器,PLC模块,电源代理商西门子S7-200模块,200CN控制器,PLC模块,电源代理商西门子S7-200模块,200CN控制器,PLC模块,电源代理商西门子S7-200模块,200CN控制器,PLC模块,电源代理商

展开全文