浔之漫智控技术(上海)有限公司总部
主营西门子模块 , PLC模块 , 变频器模块 , 触摸屏模块
中断优先级、排队和示例程序
发布时间:2023-11-04
观看中断优先级、排队和示例程序视频:

中断服务 

优先级相同时,CPU 按照先来先处理的原则处理中断。在某一时间仅执行一个用户中断 例程。中断例程开始执行后,一直执行直至完成。其它中断例程无法预先清空该例程,即 使更高优先级的例程。正在处理另一个中断时发生的中断会进行排队等待处理。下表显示 了三种中断队列以及它们能存储的大中断数。 出现的中断有可能比队列所能容纳的中断更多。因此,队列溢出存储器位(标识已丢失的 中断事件类型)由系统进行维护。下表给出了中断队列溢出位。应仅在中断例程中使用这 些位,因为当队列清空时,这些位将复位,并且控制权将返回到扫描周期。 如果多个中断事件同时发生,则优先级(组和组内)会确定首先处理哪一个中断事件。处 理了优先级高的中断事件之后,会检查队列,以查找仍在队列中的当前优先级高的事 件,并会执行连接到该事件的中断例程。会继续执行这一步骤,直至队列为空且控制权返 回到扫描周期。

000.jpg


展开全文